MNC 2012 Plenary Speakers and Invitetd Speakers
Session Session No Paper Title Author and Affiliation
Plenary 31A-1-1 Big Data Transforms Our Society
(Plenary)
M. Kitsuregawa, Univ. of Tokyo, Japan
Plenary 31A-1-2 EUV Lithography : Getting Ready for Insertion ? (Plenary) K. Ronse, IMEC, Belgium
Plenary 31A-1-3 Directed assembly of block copolymers for applications in lithography and manufacturing (Plenary) P. Rincon 1,2, H. Suh 1, J.I. Lee 3, M. Bedolla 3, G. Liu 3, C.C. Liu 3, L. Wan 4, R. Ruiz 4, R. Gronheid 2, H.Yoshida 5, P. Nealey 1, 1 Univ. of Chicago, 2 IMEC, 3 Univ. of Wisconsin, 4 HGST, USA and 5 Hitachi, Japan
Symp. B: Nanoimprint I 31A-2-1 Progress in NaPANIL Project (Invited)   J. Ahopelto, VTT Technical Res. Centre, Finland
Symp. B: Nanoimprint I 31A-2-2 Nano-imprint based patterning process for high brightness LEDs (Invited) J. Cho, K. Byeon and H. Lee, Korea Univ., Korea
Symp. B: Nanoimprint I 31A-2-3 Development of Micro/Nano Imprint with Bulk Metallic Glasses (Invited) F.-Y. Chang and J. P. Chu, Natl. Taiwan Univ. of Sci. and Technol., Taiwan
Symp. B: Nanoimprint II 31A-3-1 Progress of Jet and Flash Imprint Lithography for Volume Manufacturing (Invited) H. Wada, Molecular Imprints, Japan
Symp. B: Nanoimprint II 31A-3-2 Repairable Nanoimprinted Structures (Invited) H.Y. Low, Institute of Materials Research and Engineering, Singapore
Symp. B: Nanoimprint II 31A-3-3 Applying Directed Self-assembling and Nanoimprint lithography for Fabrication of Bit Patterned Media (Invited) N. Kihara, Y. Ootera, R. Yamamoto, N. Sasao, T. Shimada, H. Hieda, T. Okino, Y. Kamata, and A. Kikitsu, Toshiba, Japan
Nano Materiasl I 31C-2-1 2D Oxide Nanosheets: Future High-k Dielectrics from Nano Building Blocks (Invited) M. Osada 1,2 and T. Sasaki 1,2, 1 NIMS and 2 JST-CREST, Japan
Graphen I 31D-2-1 Graphene for Future Electronics (Invited) J.-H. Ahn, Sungkyunkwan Univ., Korea
Symp. A: Extrem Ultraviolet Lithography & Extentions I 1A-4-1 Recent Activities on EUVL in NewSUBARU (Invited) H. Kinoshita 1,2 T. Watanabe 1,2 and T. Harada 1,2, 1 Univ. of Hyogo and 2 JST-CREST, Japan
Symp. A: Extrem Ultraviolet Lithography & Extentions I 1A-4-2 Current Progress of Advanced EUVL Development in EIDEC (Invited) S. Inoue, H. Watanabe, T. Itani, and I. Mori, EIDEC, Japan
Symp. A: Extrem Ultraviolet Lithography & Extentions I 1A-4-3 S. Sivakumar, Intel, USA
Symp. A: Extream Ultraviolet Lithography & Extentions II 1A-5-1 High CE Technology EUV Source for HVM (Invited) H. Mizoguchi and T. Saitou, Gigaphoton, Japan
Symp. A: Extream Ultraviolet Lithography & Extentions II 1A-5-2 Resist materials for EUV lithography (Invited) S. Tarutani, Fujifilm, Japan
Symp. A: Extream Ultraviolet Lithography & Extentions II 1A-5-3 Challenges of EB Writer for EUV Mask (Invited) S. Yoshitake, NuFlare Technol., Japan
Lithography and Metrology 1A-6-1 Plasmon-Enhanced Photochemical Reactions on Nano-Engineered Gold Particles (Invited) K. Ueno, Hokkaido Univ. and JST-PRESTO, Japan
Material & Process for MEMS I 1B-5-1 Experimental Nano Mechanics for Silicon & Carbon Nanomaterials Using MEMS Technology (Invited) Y. Isono, Kobe Univ., Japan
Material & Process for MEMS II 1B-6-1 Micromachining Techniques for Wider Variety of 3D Devices (Invited) M. Sasaki and S. Kumagai, Toyota Technological Inst., Japan
Nanoimprint, Nanoprint and Rising Lithography I 1C-4-1 Continuous and high-throughput patterning techniques and their applications in photonics and transparent conductors (Invited) L.J. Guo, S.H. Ahn, J.G. Ok and M.K. Kwak, Univ. of Micigan, USA
Functional Nanodevice 1C-6-1 Single Atom Electronics For Computing (Invited) M.Y. Simmons, Univ. of New South Wales, Australia
Nano-Tool 1D-6-1 High-speed Robotic Tools integrated with Microfluidic Chip for Biomedical Innovation (Invited) F. Arai, Nagoya Univ., Japan
Symp. C: Directed Self Assembly I 2A-8-1 Self-Assembled Lithography and Potential Applications to Electronic Devices (Invited) K. Asakawa, A. Hieno, S. Hattori, H. Nakamura, T. Nakanishi, R. Kitagawa and A. Fujimoto
Symp. C: Directed Self Assembly I 2A-8-2 Chemical Epitaxy of Highly Segregating Block Copolymers for Single-digit Nano Patterning (Invited) H. Yoshida, Hitachi, Japan
Symp. C: Directed Self Assembly I 2A-8-3 Directed Self-Assembly for Functional Carbon Nanostructures (Invited) S.O. Kim, KAIST, Korea
Symp. C: Directed Self Assembly II 2A-9-1 A Lab-on-Chip System for Rapid SNP Diagnostics from Human Blood (Invited)

J. Cheng 1, J. Pitera 1, G. Doerk 1, C.-C. Liu 1, M. Tjio 1, C. Rettner 1, H. Troung 1, H. Tsai 2, K. Lai 3, M. Guillorn 2 and D. Sanders 1, 1 IBM Almaden Res. Ctr., 2 IBM Watson Res. Ctr. and 3 IBM Semicon. Res. and Develop. Ctr., USA

Symp. C: Directed Self Assembly II 2A-9-2 Lithography Processes using Directed Self-Assembly (Invited) M. Muramatsu 1, K. Tanouchi 1, M. Tomita 1, T. Kitano 1 and S. Nagahara 2, 1 Tokyo Electron Kyushu and 2 Tokyo Electron, Japan
Symp. C: Directed Self Assembly II 2A-9-3 Block Copolymer Orientation Control using a Top-Coat Surface Treatment (Invited) T. Seshimo, C.M. Baates, M.J. Maher, W.J. Durand, J.D. Cushen, L.M. Dean, G. Blachut, C.J. Ellison, C.G. Willson, Univ. of Texas at Austin, USA
Resist and DSA Materials and Processing 2A-10-1 EUV Resist Materials and Process Development for 16nm Half Pitch (Invited) M. Shimizu and T. Kimura, JSR, Japan
BioMEMS, Lab on a Chip II 2B-9-1 A Lab-on-Chip System for Rapid SNP Diagnostics from Human Blood (Invited) I. Yamashita and P. Fiorini 2, 1 Panasonic, Japan and 2 IMEC, Belgium
I. Yamashita, Panasonic, Japan
--> M. Hiraoka, Panasonic, Japan

BioMEMS, Lab on a Chip III 2B-10-1 Paper-based ELISA for Detecting Various Diseases (Invited) C.-M. Cheng, National Tsing Hua Univ., Taiwan
Nanofabrication III 2D-10-1 Integration of III-V Nanowires on Si and Device Applications (Invited) K. Tomioka 1,2, M. Yoshimura 1 and T. Fukui 1, 1 Hokkaido Univ. and 2 JST-PRESTO, Japan
Nanofabrication II 2D-9-1 Nanopore Based Ionic Field Effect transistor for DNA Manipulation (Invited) M.-H. Lee, K.-B. Park, D.-J. Lee, H.-M. Kim and K.-B. Kim, Seoul Natl. Univ., Korea